AI赋能Gululu Q智能语音水杯 多产品线持续发力儿童智能物联领域

发布时间: 2024-05-13 09:17:05    作者: 江南综合体育app下载安装

  2019年10月17日, 中国高端儿童水杯Gululu系列智能互动水杯,将于10月21日天猫希诺旗舰店上线旗下第五款产品Gululu Q智能语音水杯,并于11月在天猫Gululu母婴旗舰店正式上线。新品Gululu Q内置天猫精灵智能语音助手,用AI黑科技赋能儿童大健康产业。历经三年五款定位不一样的产品的更新迭代,Gululu凭借自身软硬件能力,将新品受众延伸至大众市场,希望能够通过亲民的定价和独特的功能点,为更多消费的人带来高品质儿童智能硬件产品。

  作为兼具健康习惯管家和AI学习伙伴双重身份的儿童AIoT智能载体,Gululu Q智能语音水杯的特色尤为亮眼。Gululu Q内置天猫精灵智能语音助手,精选天猫精灵儿童版海量内容,天文地理诗词歌赋无所不知,造就儿童专属的纯净AI内容环境。同时,Gululu独特的AI算法饮水监测技术,能精准测量并追踪饮水数据,与App数据同步;丰富的饮水趣味语音提醒,让饮水过程充满期待与乐趣。此外,正在热映的东方梦工厂首部原创动画电影《雪人奇缘》,与Gululu Q开展了深度IP合作,主角小雪人大毛作为限时特定角色,也加入新品水杯宠物大家庭。

  2016年5月,Gululu首发于全球最大的众筹平台之一 -- Kickstarter,不到四天即完成众筹目标,获得全球20多个国家新闻媒体报道。首批量产的第一代Gululu水精灵互动水杯,凭借独特的宠物养成概念,短短数月产品便售罄。2017年初正式推出中文版,登陆中国市场。

  在迅速收集来自全球用户的反馈和需求之后,优化升级的第二款产品Gululu Talk智能互动水杯,于2017年双十一期间正式上架天猫Gululu母婴旗舰店。主打让萌宠开口说话,Gululu Talk围绕喝水场景收录了300多句日常英语,为孩子打造全天候的英文陪伴;并定制了原创语音故事,有机结合科普知识与情商元素,培养小孩子成长所需的软实力。

  2018年,为了丰富用户的水杯使用场景,第三款Gululu Go互动水杯主打外出携带和户外运动需求,进行了外观、材质和性能上的升级。同时Gululu星系的新IP角色同期发布,新增宠物间打招呼的互动玩法,充分激发孩子的社交天性。

  2019年5月,Gululu与中国精品杯壶铸造者希诺的品牌联合款Gululu Go 2智能互动水杯正式对外发布,除了在产品性能方面取得突破性改良之外,水杯内容的广度和趣味度也得以显著提升。Gululu Go 2新增十大内容订阅频道,与宝宝巴士、常州中华恐龙园、叽里呱啦、成长兔英语、火火兔等多家品牌进行跨界合作,频道内容涉及日常英语、天文科学、世界历史、恐龙知识、民间传统文化等多个领域,Gululu儿童内容平台属性初具雏形。在IP打造上,Gululu Go 2新加入两位水精灵成员 -- 小海马呦呦和希诺小熊诺诺,Gululu水精灵阵容持续扩大。

  三年来,Gululu一直坚守初心,聆听消费者的声音,匠心打磨每一代产品,逐渐完备使用者真实的体验。伴随新品Gululu Q智能语音水杯的推出,以及其他创新儿童产品的研发,Gululu将凭借自身蓬勃的产品力,朝着营造儿童智能健康生态圈的长期目标奋进。

  内容搜集整理于网络,不代表本站同意文章中的说法或者描述。文中叙述文字和内容信息没有经过本站证实,其全部或者部分内容、文字的真实性、完整性、及时性本站不做任何保证或者承诺,并且本站对内容资料不承担任何法律责任,请读者自行甄别。如因文章的主要内容、版权和别的问题侵犯了您的合法权益请联系QQ:243 78 09 247 进行删除处理,谢谢合作!

  成交了,成交了,4.2亿元!“我为湖北拼大单——湖北水产品直销云购会”圆满





粤公网安备 粤ICP备10231418号